物理系畢業論文開題報告

學識都 人氣:3.22W

開題報告是對學生位論文選題工作的論證和審核,是高校生培養與管理的重要環節,以下是小編收集整理的物理系畢業論文開題報告,歡迎閲讀參考。

物理系畢業論文開題報告

 題 目:電池容量測試的設計

一、 課題的目的意義:

電池容量測試儀是測量電池電量不可缺少的測量儀器,是電池電量的控制系統,是決定電池使用壽命的關鍵設備,隨着現代電車、手機和相關電子設備的快速發展,這樣就需要一個穩定測量系統。

二、 文獻綜述(分析國內外研究現狀、提出問題,找到研究課題的切入點,附主要參考文獻,約2000字):

由於大規模和超大規模數字集成電路技術、數據通信技術與單片機技術的結合,數字頻率計發展進入了智能化和微型化的新階段。其功能進一步擴大,除了測量頻率、頻率比、週期、時間、相位、相位差等基本功能外,還具有自撿、自校、自診斷、數理統計、計算方均根值、數據存儲和數據通信等功能。此外,還能測量電壓、電流、阻抗、功率和波形等。

從國內外研究現狀來看,數字頻率計的主要實現方法有直接式、鎖相式、直接數字式三種。直接式的優點是速度快、相位噪聲低,但結構複雜、雜散多,一般只應用在地面雷達中。鎖相式的優點是相位同步自動控制,製作頻率高,功耗低,容易實現系列化、小型化、模塊化和工程化。直接數字式的優點電路穩定、精度高、容易實現系列化、小型化、模塊化和工程化。

隨着單片鎖相式數字頻率計的發展,鎖相式和數字式容易實現系列化、小型化、模塊化和工程化,性能也越來越好,已逐步成為兩種最為典型,用處最為廣泛的數字頻率計。

數字頻率計可用純硬件實現法(可選的器件有通用的SSI/MSI/LSI集成電路、專用集成電路、可程式邏輯器件等);也可用純軟件實現法(可選的平台有PC機、單片機、 DSP器件等);一般考慮用軟硬件相結合的實現法,但是實現的頻率精度可能沒有純硬件實現的精確高,所以考慮用純硬件來實現。

數字頻率計是數字電路中的一個典型應用,隨着CPLD/FPGA(複雜可程式邏輯器件/現場可程式門陣列)的廣泛應用,以EDA工具作為開發手段,運用Verilog HDL語言。將使整個系統大大簡化。提高整體的性能和可靠性。用基於Verilog HDL語言設計數字頻率計:數字頻率計是數字電路中的一個典型應用,隨着CPLD/FPGA(複雜可程式邏輯器件/現場可程式門陣列)的廣泛應用,以EDA工具作為開發手段,運用Verilog HDL語言。將使整個系統大大簡化。提高整體的性能和可靠性。用Verilog HDL語言在CPLD/FPGA器件上實現一種32 b數字頻率計測頻系統,能夠用LCD12864顯示被測信號的頻率,不僅能夠測量正弦波、方波和三角波等信號的頻率,而且還能對其他多種物理量進行測量。具有體積小、可靠性高、功耗低的特點。

基於高速串行BCD碼除法的數字頻率計:採用Verilog HDL編程設計實現的數字頻率計,除被測信號的整形部分、鍵輸入部分和LCD12864顯示部分以外,其餘全部在一片FPGA芯片上實現,整個系統非常精簡,而且具有靈活的現場可更改性。在不更改硬件電路的'基礎上,對系統進行各種改進還可以進一步提高系統的性能。該數字頻率計具有高速、精確、可靠、抗干擾性強和現場可程式等優點。

參考文獻

[1] 吳戈log HDL與數字系統設計[M].北京:人民郵電出版社.2009,2.

[2] 李國麗與數字系統設計[M].北京:機械工業出版社.2009,1.

[3] 夏宇聞log HDL數字設計教程[M].北京:北京航空航天大學出版社.2008,6.

[4] 葉淦華嵌入式應用系統開發典型實例[M].西安:中國電力出版社.2005.

[5] 王永良.基於FPGA的同步測週期高精度數字頻率計的設計[J].電子設計應用,2004, (12):74-76.

[6] 蘭吉昌.單片機C51完全學習手冊[M].北京:化學工業出版社.2008,10.

[7] 唐繼賢.51單片機工程應用實例[M].北京:北京航空航天大學出版社.2009,1.

[8] 吳海明.基於單片機與FPGA的等精度頻率計[J].兵工自動化.2009,3.

[9] 李麗娟.基於CPLD/FPGA的等精度頻率計設計[J].工業控制計算機,2008,8.

[10] 劉勉.基於FPGA的頻率計設計與實現[J].信息技術.2009,12.

[11] Steve nced FPGA Design:Architechture,Implementation,and Optimization [M].北京:機械工業出版社.2009,2.

[12] 潘鬆,黃繼業,陳龍技術與Verilog HDL[M].北京:清華大學出版社.2010,4.

[13] Janice Mazidi .8051 Microcontroller and Embedded Systems[M]tice Hall,1999 66-67.

[14] Clive max Maxfiled Designed Warrior’s Guide to FPGAs[M]es,2004 6.

[15] Ed bullish on growth[J] Daily Telegraph.2007:63-72.

三、課題研究的內容、方法和預期目標:

研究內容:

敍述了課題的研究背景,簡單介紹了當前數字頻率計的實現形式和發展情況以及Quartus II軟件開發環境及51開發軟件keil。然後主要是數字頻率計的理論研究。從原理上理解、分析、研究數字頻率計,並做了仿真。結合課題的要求做數字頻率計設計與研究。

研究方法:利用Quartus II開發環境利用Verilog HDL硬件描述語言對FPGA的各個模塊進行設計,利用keil軟件對8051 IP Core進行編程,對頻率計進行設計仿真研究。

預期目標:

1.研究數字頻率計的基本理論、實現方法。通過學習數字頻率計的結構、設計理論,掌握各種數字頻率計的原理和特性,為設計實現數字頻率計奠定理論基礎。

2. 研究FPGA及51單片機的結構以及使用方法。熟悉Quartus II軟件及keil軟件的開發環境,瞭解單片機的使用技巧。

3. 在Quartus II9.0環境下設計各種數字系統,並研究各種數字系統的設計方法,主要是32位計數器和鎖存器,以及在FPGA中嵌入8051 IP Core的實現及編程方法。

4. 通過Quartus II9.0軟件設計,運用所設計的各個模塊的數字電路進行系統組裝。編譯後並下載到FPGA芯片中,各個模塊進行測試,歸納總結數字系統的設計方法與理論。

5.整機調試,觀察整機系統工作的協調性級穩定性,以及誤差分析。

四、 所需儀器設備、材料情況:

計算機,與論文有關的期刊、文獻、實驗箱。

五、課題分階段的進度計劃

1 20XX.9.2-9.30 查閲、蒐集資料,研究蒐集的資料; 資料蒐集齊全

2 20XX.10.1-12.30 撰寫開題報告論文開題; 完成開題報告

3 20XX.3.2-3.28 全面細緻的研讀,精選資料,撰寫論文提綱和文體框架; 完成提綱和框架

4 3.29-4.18 豐富論文內容,完成論文初稿; 完成論文初稿

5 4.19-4.25 畢業論文中期檢查 完成檢查總結

6 4.26-5.9 修改論文,並撰寫讀書筆記; 按期完成

7 5.10-5.23 進一步改進和修改論文,定稿打印; 論文定稿上交

8 5.24-6.6 進一步完善論文的細節,並準備論文答辯; 完成答辯提綱

9 6.7-6.18 提交畢業論文的所有資料,準備進行答辯; 順利答辯